Also known as Very High Speed Integrated Circuit Hardware Description Language (VHSIC-HDL), VHDL is a programming language designed to describe electronic systems, although it can be used as a general-purpose parallel programming language.
The language was created for the United States Department of Defense in the 1980s. In the development of the government's Very High Speed Integrated Circuits (VHSIC) program, developers needed a standard language that could be used to describe the structure and function of integrated circuits. VHDL was designed to solve that problem, and was then further developed under the guidance of the Institute of Electrical and Electronics Engineers (IEEE), and adopted as IEEE Standard 1076, Standard VHDL Language Reference Manual, in 1987, a version usually referred to as VHDL-87.
A 1992 revision was adopted by IEEE the following year, as VHDL-93. A later revision was known as VHDL-2002.
After that, further development of the language took place among an IEEE working group and in a technical committee of Accellera, which was created to promote standards for electronic design. This led to VHDL-2008 which, as of this date in 2019, is the most current version.
VHDL is a hardware description language used to describe digital circuits and systems. Once a system has been described in VHDL, its operations can be simulated through the use of a VHDL simulator. The circuit can also be synthesized through a synthesis program, similar to a compiler, which converts the VHDL description to a gate-level description, mapping it to standard cells or field-programmable gate array (FPGA). The HDL's most commonly in use today are VHDL and SystemVerilog.
The advantages of the language are that it allows for a description of the structure of a system using familiar programming language forms. This allows the design of a system to be simulated before being manufactured so that designers can readily compare alternatives and test for correctness without the delay and expense of hardware prototyping. It also allows the detailed structure of a design to be synthesized from a more abstract specification, so that designers can concentrate on more strategic design features, reducing the time to market of the product.
VHDL projects are also multipurpose. Once created, a calculation block can be reused on other projects. Such projects are also portable. Those created for one element base can be easily ported to another. Unlike Verilog, VHDL has a full type system, allowing for more structured code.
Commercial VHDL simulators include Aldec Active-HDL, Cadence Incisive, EDA Utilities, ModelSim, Questa Advanced Simulator, Synopsys VCS-MX, and Xilinx Vivado. Free or open-source simulators include Boot, EDA Playground, FreeHDL, GHDL, Nvc, and VHDL Simili.
Topics related to the language and any versions or implementations, simulators, or tools designed to facilitate programming in VHDL are the focus of resources listed in this category, as well as any VHDL user groups, forums, tutorials, guides, or informational sites.
 
 
Recommended Resources
AMS manufactures hardware products for a wide range of application services, from architecture concepts to full implementation. One of its products is its VHDL Board Support, a complete design suite that includes all board-level interfaces, simulation models, and examples with host code. An overview of the product and its features is put forth, along with its interfaces, design processes, and methodology. Registered users of the site may download documentation.
https://www.annapmicro.com/products/vhdl/
Green Mountain Computing Systems
The Vermont-based company develops custom and OEM (original equipment manufacturer) software, particularly its own implementation of VHDL, marketed as DirectVHDL for Windows and DirectVHDL for Mac OS X, both of which are highlighted here, including its availability, requirements, and cost. The site also features an introductory VHDL tutorial, downloadable product demonstrations, and a free download of an HC11 CPU Core. Support services and resources are discussed.
http://gmvhdl.com/
Hosted by SourceForge, and available as a free download through a GNU General Public License version 2.0, H.264 VHDL core is a hardware implementation of the H.264 video compression algorithm which accepts up to the highest resolution HDTV video stream as input and outputs the encoded bitstream. Project details and development notes are published to the site. Users may rate and review the product, and a discussion area is available. The project administrator is introduced.
https://h264vhdl.sourceforge.io/
Founded by Jim Lewis, a founding member of the Open-Source Verification Methodology, and a member of the IEEE, SynthWorks provides training in the VHDL hardware programming language, including introductory and advanced classes in VHDL and VHDL-based design and verification. Available courses are featured, including course overviews, prerequisites, objectives, course outlines, schedules, and dates, including customizations. A downloads page is included.
http://www.synthworks.com/
The University of Maryland Baltimore County Computer Science and Electrical Engineering Department presents a tutorial and other reference material on the VHDL hardware description language, offering a guide to using Cadence VHDL on CSEE machine, a summary of VHDL, sample VHDL code, a handbook and guide for the use of the language, links to the GHDL compiler and simulator, as well as a free VHDL compiler and simulator, and other resources.
https://www.csee.umbc.edu/portal/help/VHDL/
VHDL Tutorial: Learn by Example
Hosted on a site for Embedded System Design, the tutorial was written by Weijun Zhang on July 2001, and intended for students who need not understand the details of VHDL, but be able to modify examples to build the desired basic circuits. The tutorial is sorted into a foreword, basic logic gates, combinational logic design, typical combinational log components, latch and flip-flops, sequential logic design, typical sequential logic components, and custom and general-purpose processor design.
http://esd.cs.ucr.edu/labs/tutorial/
Maintained by Jonas Julian Jenson, an FPGA engineer from Norway, specializing in FPGAs and ASICs. The site includes informational articles and videos presenting concepts and techniques to improve a user’s understanding of the VHDL language and of digital logic design, as well as basic VHDL tutorials. Instructions for participating in the tutorial are given, including course material. Students may also enroll in a Dot Matrix LED Controller FPGA Course, which is hands-on.
https://vhdlwhiz.com/
Offering a range of teaching material on Very High Speed Integrated Circuit Hardware Description Language (VHDL) for self-study purposes, the material includes parts of lecture notes of the Professorship Circuit and System Design at the Chemnitz University of Technology, which maintains the site. Included is a tutorial for VHDL and for VHDL-AMS, which is VHDL for Analog and Mixed Signals, an extension of the hardware language. Workshops, references, and a glossary are included.
http://www.vhdl-online.de/
Available in free and premium versions, VHDL-Tool is a VHDL syntax checking, type checking, and linting tool, as well as a language server for VHDL, offering IDE features, including making definitions, references, and auto-completion available within editors that support the language server protocol. The tool can be run as a standalone command-line application or as a daemon, available through editor plugins. Supporting the Linux platform, the free version may be downloaded from the site.
https://www.vhdltool.com/